Volgen
Scott Mahlke
Scott Mahlke
Professor, Electrical Engineering and Computer Science Dept., University of Michigan
Geverifieerd e-mailadres voor umich.edu - Homepage
Titel
Geciteerd door
Geciteerd door
Jaar
Effective compiler support for predicated execution using the hyperblock
SA Mahlke, DC Lin, WY Chen, RE Hank, RA Bringmann
ACM SIGMICRO Newsletter 23 (1-2), 45-54, 1992
9191992
The superblock: An effective technique for VLIW and superscalar compilation
WMW Hwu, SA Mahlke, WY Chen, PP Chang, NJ Warter, RA Bringmann, ...
Instruction-Level Parallelism: A Special Issue of The Journal of …, 2011
8832011
{COMET}: Code offload by migrating execution transparently
MS Gordon, DA Jamshidi, S Mahlke, ZM Mao, X Chen
10th USENIX symposium on operating systems design and implementation (OSDI …, 2012
5412012
IMPACT: An architectural framework for multiple-instruction-issue processors
PP Chang, SA Mahlke, WY Chen, NJ Warter, WW Hwu
ACM SIGARCH Computer Architecture News 19 (3), 266-275, 1991
5051991
Scalpel: Customizing dnn pruning to the underlying hardware parallelism
J Yu, A Lukefahr, D Palframan, G Dasika, R Das, S Mahlke
ACM SIGARCH Computer Architecture News 45 (2), 548-560, 2017
4662017
Shoestring: Probabilistic soft error reliability on the cheap
S Feng, S Gupta, A Ansari, S Mahlke
ACM SIGARCH Computer Architecture News 38 (1), 385-396, 2010
3662010
Sage: Self-tuning approximation for graphics engines
M Samadi, J Lee, DA Jamshidi, A Hormati, S Mahlke
Proceedings of the 46th Annual IEEE/ACM International Symposium on …, 2013
3532013
Using profile information to assist classic code optimizations
PP Chang, SA Mahlke, WMW Hwu
Software: Practice and Experience 21 (12), 1301-1321, 1991
3511991
SODA: A low-power architecture for software radio
Y Lin, H Lee, M Woh, Y Harel, S Mahlke, T Mudge, C Chakrabarti, ...
ACM SIGARCH Computer Architecture News 34 (2), 89-101, 2006
3242006
Reliable systems on unreliable fabrics
T Austin, V Bertacco, S Mahlke, Y Cao
IEEE Design & Test of Computers 25 (4), 322-332, 2008
3192008
Processor acceleration through automated instruction set customization
N Clark, H Zhong, S Mahlke
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
2932003
Paraprox: Pattern-based approximation for data parallel applications
M Samadi, DA Jamshidi, J Lee, S Mahlke
Proceedings of the 19th international conference on Architectural support …, 2014
2852014
A comparison of full and partial predicated execution support for ILP processors
SA Mahlke, RE Hank, JE McCormick, DI August, WMW Hwu
Proceedings of the 22nd annual international symposium on Computer …, 1995
2711995
Orchestrating the execution of stream programs on multicore platforms
M Kudlur, S Mahlke
ACM SIGPLAN Notices 43 (6), 114-124, 2008
2692008
Edge-centric modulo scheduling for coarse-grained reconfigurable architectures
H Park, K Fan, SA Mahlke, T Oh, H Kim, H Kim
Proceedings of the 17th international conference on Parallel architectures …, 2008
2632008
BulletProof: A defect-tolerant CMP switch architecture
K Constantinides, S Plaza, J Blome, B Zhang, V Bertacco, S Mahlke, ...
The Twelfth International Symposium on High-Performance Computer …, 2006
2582006
Application-specific processing on a general-purpose core via transparent instruction set customization
N Clark, M Kudlur, H Park, S Mahlke, K Flautner
37th international symposium on microarchitecture (MICRO-37'04), 30-40, 2004
2492004
Profile‐guided automatic inline expansion for C programs
PP Chang, SA Mahlke, WY Chen, WMW Hwu
Software: Practice and Experience 22 (5), 349-369, 1992
2451992
Composite cores: Pushing heterogeneity into a core
A Lukefahr, S Padmanabha, R Das, FM Sleiman, R Dreslinski, ...
2012 45th annual IEEE/ACM international symposium on microarchitecture, 317-328, 2012
2202012
Chimera: Collaborative preemption for multitasking on a shared GPU
JJK Park, Y Park, S Mahlke
ACM SIGARCH Computer Architecture News 43 (1), 593-606, 2015
2112015
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20