Follow
Satoshi Kawakami
Title
Cited by
Cited by
Year
Novel frontier of photonics for data processing—Photonic accelerator
K Kitayama, M Notomi, M Naruse, K Inoue, S Kawakami, A Uchida
Apl Photonics 4 (9), 2019
1742019
SuperNPU: An extremely fast neural processing unit using superconducting logic devices
K Ishida, I Byun, I Nagaoka, K Fukumitsu, M Tanaka, S Kawakami, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
482020
32 GHz 6.5 mW gate-level-pipelined 4-bit processor using superconductor single-flux-quantum logic
K Ishida, M Tanaka, I Nagaoka, T Ono, S Kawakami, T Tanimoto, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
312020
Superconductor computing for neural networks
K Ishida, I Byun, I Nagaoka, K Fukumitsu, M Tanaka, S Kawakami, ...
Ieee Micro 41 (3), 19-26, 2021
232021
Many-core acceleration for model predictive control systems
S Kawakami, A Iwanaga, K Inoue
Proceedings of the First International Workshop on Many-Core Embedded …, 2013
52013
ナノフォトニック・ニューラルアクセラレーション構想
川上哲志, 磯部聖, 浅井里奈, 小野貴継, 本田宏明, 井上弘士, 納富雅也
研究報告システム・アーキテクチャ (ARC) 2016 (2), 1-10, 2016
42016
Parallel precomputation with input value prediction for model predictive control systems
S Kawakami, T Ono, T Ohtsuka, K Inoue
IEICE TRANSACTIONS on Information and Systems 101 (12), 2864-2877, 2018
32018
50-GFLOPS Floating-Point Adder and Multiplier Using Gate-Level-Pipelined Single-Flux-Quantum Logic With Frequency-Increased Clock Distribution
I Nagaoka, R Kashima, M Tanaka, S Kawakami, T Tanimoto, T Yamashita, ...
IEEE Transactions on Applied Superconductivity 33 (4), 1-11, 2023
22023
Practical error modeling toward realistic NISQ simulation
T Tanimoto, S Matsuo, S Kawakami, Y Tabuchi, M Hirokawa, K Inoue
2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 291-293, 2020
22020
ナノフォトニックコンピューティングの性能限界
川上哲志, 浅井里奈, 小野貴継, 本田宏明, 井上弘士, 北翔太, 納富雅也
研究報告システム・アーキテクチャ (ARC) 2017 (18), 1-9, 2017
22017
モデル予測制御のためのメニーコア投機実行の性能モデリング
川上哲志, 岩永明人, 井上弘士, 大塚敏之
研究報告計算機アーキテクチャ (ARC) 2013 (11), 1-7, 2013
22013
オイラー動画像誇張処理を対象とした Halide を用いた FPGA 加速実行の設計と実装評価
上野麟, 谷本輝夫, 後藤孝行, 丸岡晃, 川上哲志, 小野貴継, 飯塚拓郎, ...
研究報告システム・アーキテクチャ (ARC) 2020 (4), 1-8, 2020
12020
How many trials do we need for reliable NISQ computing?
T Tanimoto, S Matsuo, S Kawakami, Y Tabuchi, M Hirokawa, K Inoue
2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 288-290, 2020
12020
ナノフォトニック・ニューラルネットワークアクセラレータ向け統合評価環境
川上哲志, 小野貴継, 納富雅也, 井上弘士
電子情報通信学会論文誌 A 102 (6), 182-193, 2019
12019
ムーアの法則の限界が見えた今, デバイス研究はどこに向かうのか: コンピュータアーキテクチャの視点から
井上弘士, 川上哲志, 田中雅光
電子情報通信学会誌= The journal of the Institute of Electronics, Information …, 2019
12019
ナノフォトニック・ニューラルアクセラレータ向け性能評価環境の構築
川上哲志, 小野貴継, 井上弘士, 納富雅也
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 31, 42-47, 2018
12018
可飽和吸収体の利用を前提としたナノフォトニック・ニューラルアクセラレータ向け活性化関数の評価
磯部聖, 川上哲志, 小野貴継, 井上弘士, 納富雅也
研究報告システム・アーキテクチャ (ARC) 2016 (3), 1-7, 2016
12016
極低温不揮発 FPGA を対象とした誤り耐性量子コンピュータ向け表面符号復号器の RTL 設計
中村徹舟, 宮村信, 井上弘士, 川上哲志, 阪本利司, 多田宗弘, 谷本輝夫
情報処理学会論文誌コンピューティングシステム (ACS) 17 (1), 13-25, 2024
2024
Empirical Power-performance Analysis of Layer-wise CNN Inference on Single Board Computers
YN Kuan, MA Aalaa, T Teruo, K Satoshi
情報処理学会論文誌コンピューティングシステム (ACS) 16 (1), 2023
2023
極低温不揮発 FPGA を対象とした誤り耐性量子コンピュータ向け表面符号復号器の RTL 設計
中村徹舟, 宮村信, 井上弘士, 川上哲志, 阪本利司, 多田宗弘, 谷本輝夫
研究報告システムと LSI の設計技術 (SLDM) 2023 (27), 1-10, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20