Volgen
Mauro Olivieri
Mauro Olivieri
Sapienza University of Rome, Italy. - Barcelona Supercomputing Center, Spain.
Geverifieerd e-mailadres voor uniroma1.it - Homepage
Titel
Geciteerd door
Geciteerd door
Jaar
Mparm: Exploring the multi-processor soc design space with systemc
L Benini, D Bertozzi, A Bogliolo, F Menichelli, M Olivieri
Journal of VLSI signal processing systems for signal, image and video …, 2005
3492005
A post-compiler approach to scratchpad mapping of code
F Angiolini, F Menichelli, A Ferrero, L Benini, M Olivieri
Proceedings of the 2004 international conference on Compilers, architecture …, 2004
1382004
Impact of technology scaling on leakage power in nano-scale bulk CMOS digital standard cells
Z Abbas, M Olivieri
Microelectronics Journal 45 (2), 179-195, 2014
662014
Statistical carry lookahead adders
A De Gloria, M Olivieri
IEEE Transactions on Computers 45 (3), 340-347, 1996
661996
Design of synchronous and asynchronous variable-latency pipelined multipliers
M Olivieri
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 9 (2), 365-376, 2001
632001
A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control
M Olivieri, G Scotti, A Trifiletti
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (5), 630-638, 2005
512005
A class of code compression schemes for reducing power consumption in embedded microprocessor systems
L Benini, F Menichelli, M Olivieri
IEEE Transactions on Computers 53 (4), 467-482, 2004
492004
Fuzzy logic microcontroller
A Costa, A De Gloria, F Giudici, M Olivieri
IEEE Micro 17 (1), 66-74, 1997
451997
High-level side-channel attack modeling and simulation for security-critical systems on chips
F Menichelli, R Menicocci, M Olivieri, A Trifiletti
IEEE Transactions on Dependable and Secure Computing 5 (3), 164-176, 2008
422008
Side channel analysis resistant design flow
M Aigner, S Mangard, F Menichelli, R Menicocci, M Olivieri, T Popp, ...
2006 IEEE International Symposium on Circuits and Systems, 4 pp.-2912, 2006
362006
Current controlled current conveyor (CCCII) and application using 65nm CMOS technology
Z Abbas, G Scotti, M Olivieri
International Journal of Electronics and Communication Engineering 5 (7 …, 2011
352011
Effect of NBTI/PBTI aging and process variations on write failures in MOSFET and FinFET flip-flops
U Khalid, A Mastrandrea, M Olivieri
Microelectronics Reliability 55 (12), 2614-2626, 2015
342015
A bootstrap technique for wideband amplifiers
F Centurelli, R Luzzi, M Olivieri, A Trifiletti
IEEE Transactions on Circuits and Systems I: Fundamental Theory and …, 2002
342002
A voltage-based leakage current calculation scheme and its application to nanoscale MOSFET and FinFET standard-cell designs
Z Abbas, A Mastrandrea, M Olivieri
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (12 …, 2014
332014
Block placement with a Boltzmann machine
A De Gloria, P Faraboschi, M Olivieri
IEEE transactions on computer-aided design of integrated circuits and …, 1994
311994
The international race towards Exascale in Europe
F Gagliardi, M Moreto, M Olivieri, M Valero
CCF Transactions on High Performance Computing 1 (1), 3-13, 2019
302019
The microarchitecture of a multi-threaded RISC-V compliant processing core family for IoT end-nodes
A Cheikh, G Cerutti, A Mastrandrea, F Menichelli, M Olivieri
Applications in Electronics Pervading Industry, Environment and Society …, 2019
292019
Klessydra-T: Designing vector coprocessors for multithreaded edge-computing cores
A Cheikh, S Sordillo, A Mastrandrea, F Menichelli, G Scotti, M Olivieri
IEEE Micro 41 (2), 64-71, 2021
282021
Static minimization of total energy consumption in memory subsystem for scratchpad-based systems-on-chips
F Menichelli, M Olivieri
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (2), 161-171, 2009
282009
A novel CMOS logic style with data independent power consumption
M Aigner, S Mangard, R Menicocci, M Olivieri, G Scotti, A Trifiletti
2005 IEEE International Symposium on Circuits and Systems, 1066-1069, 2005
282005
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20