Volgen
Nael Mizanur Rahman
Titel
Geciteerd door
Geciteerd door
Jaar
Architecture, chip, and package codesign flow for interposer-based 2.5-D chiplet integration enabling heterogeneous IP reuse
J Kim, G Murali, H Park, E Qin, H Kwon, VCK Chekuri, NM Rahman, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (11 …, 2020
442020
Stetho-phone: Low-cost digital stethoscope for remote personalized healthcare
SA Fattah, NM Rahman, A Maksud, SI Foysal, RI Chowdhury, ...
2017 IEEE Global Humanitarian Technology Conference (GHTC), 1-7, 2017
262017
First-principles calculation of the optoelectronic properties of doped methylammonium lead halide perovskites: A DFT-based study
NM Rahman, M Adnaan, D Adhikary, M Islam, MK Alam
Computational Materials Science 150, 439-447, 2018
232018
Processing-in-memory-based on-chip learning with spike-time-dependent plasticity in 65-nm cmos
D Kim, X She, NM Rahman, VCK Chekuri, S Mukhopadhyay
IEEE Solid-State Circuits Letters 3, 278-281, 2020
112020
Chiplet/interposer co-design for power delivery network optimization in heterogeneous 2.5-D ICs
J Kim, VCK Chekuri, NM Rahman, MA Dolatsara, HM Torun, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 11 …, 2021
102021
A fully synthesized integrated buck regulator with auto-generated GDS-II in 65nm CMOS process
VCK Chekuri, NM Rahman, E Lee, A Signh, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
102020
Extracting side-channel leakage from round unrolled implementations of lightweight ciphers
N Chawla, A Singh, NM Rahman, M Kar, S Mukhopadhyay
2019 IEEE International Symposium on Hardware Oriented Security and Trust …, 2019
72019
Silicon vs. Organic Interposer: PPA and Reliability Tradeoffs in Heterogeneous 2.5 D Chiplet Integration
J Kim, VCK Chekuri, NM Rahman, MA Dolatsara, H Torun, ...
2020 IEEE 38th International Conference on Computer Design (ICCD), 80-87, 2020
62020
AFE-CIM: A Current-Domain Compute-In-Memory Macro for Analog-to-Feature Extraction
S Sharma, WC Wang, C DeLude, M Lee, NM Rahman, NV Kidambi, ...
ESSCIRC 2023-IEEE 49th European Solid State Circuits Conference (ESSCIRC), 33-36, 2023
32023
A flexible precision multi-format in-memory vector matrix multiplication engine in 65 nm cmos with rf machine learning support
M Mukherjee, Y Long, J Woo, D Kim, NM Rahman, S Dash, ...
IEEE Solid-State Circuits Letters 3, 450-453, 2020
32020
29.1 A 32.5 mW Mixed-Signal Processing-in-Memory-Based k-SAT Solver in 65nm CMOS with 74.0% Solvability for 3D-Variable 126-Clause 3-SAT Problems
D Kim, NM Rahman, S Mukhopadhyay
2023 IEEE International Solid-State Circuits Conference (ISSCC), 28-30, 2023
22023
A configurable architecture for efficient sparse fir computation in real-time radio frequency systems
J Seo, M Mukherjee, NM Rahman, J Tong, C DeLude, T Krishna, ...
2022 IEEE/MTT-S International Microwave Symposium-IMS 2022, 998-1001, 2022
22022
A Configurable Dual-Mode PRINCE Cipher with Security Aware Pipelining in 65nm for High Throughput Applications
NM Rahman, E Lee, VCK Chekuri, A Singh, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
22020
BeamCIM: A Compute-In-Memory based Broadband Beamforming Accelerator using Linear Embedding
NM Rahman, S Sharma, C DeLude, WC Wang, J Romberg, ...
2024 IEEE Radio and Wireless Symposium (RWS), 46-49, 2024
12024
A Low-Power Authentication IC for Visible-Light-Based Interrogation
E Lee, NM Rahman, VCK Chekuri, A Singh, S Mukhopadhyay
IEEE Transactions on Industrial Electronics 69 (3), 3120-3130, 2021
12021
Aging Challenges in On-chip Voltage Regulator Design
VCK Chekuri, A Singh, NM Rahman, E Lee, S Mukhopadhyay
2020 IEEE International Reliability Physics Symposium (IRPS), 1-8, 2020
12020
An Authentication IC with Visible Light Based Interrogation in 65nm CMOS
E Lee, NM Rahman, VCK Chekuri, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
12020
PRESTO: A Processing-in-Memory-Based -SAT Solver Using Recurrent Stochastic Neural Network With Unsupervised Learning
D Kim, NM Rahman, S Mukhopadhyay
IEEE Journal of Solid-State Circuits, 2024
2024
FPGA-Based High-Performance Real-Time Emulation of Radar System Using Direct Path Compute Model
X Mao, M Mukherjee, NM Rahman, U Kamal, S Sharma, P Behnam, ...
2023 IEEE/MTT-S International Microwave Symposium-IMS 2023, 419-422, 2023
2023
A High Performance Computing Architecture for Real-Time Digital Emulation of RF Interactions
M Mukherjee, NM Rahman, C DeLude, J Driscoll, U Kamal, J Woo, J Seo, ...
2023 IEEE Radar Conference (RadarConf23), 1-6, 2023
2023
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20