Follow
Dennis Rich
Dennis Rich
Verified email at stanford.edu
Title
Cited by
Cited by
Year
The thermodynamics of restoring underwater superhydrophobicity
PR Jones, AT Kirn, YD Ma, DT Rich, NA Patankar
Langmuir 33 (11), 2911-2919, 2017
142017
Controlling phase change: Drying-up under water or staying wet during boiling
P Jones, A Kirn, D Rich, A Elliot, N Patankar
APS Division of Fluid Dynamics Meeting Abstracts, R35. 011, 2014
62014
Heterogeneous 3D nano-systems: The N3XT approach?
D Rich, A Bartolo, C Gilardo, B Le, H Li, R Park, RM Radway, ...
NANO-CHIPS 2030: On-Chip AI for an Efficient Data-Driven World, 127-151, 2020
52020
Cooling future system-on-chips with diamond inter-tiers
M Malakoutian, A Kasperovich, D Rich, K Woo, C Perez, R Soman, ...
Cell Reports Physical Science 4 (12), 2023
22023
EMBER: A 100 MHz, 0.86 mm2, Multiple-Bits-per-Cell RRAM Macro in 40 nm CMOS with Compact Peripherals and 1.0 pJ/bit Read Circuitry
LR Upton, A Levy, MD Scott, D Rich, WS Khwa, YD Chih, MF Chang, ...
ESSCIRC 2023-IEEE 49th European Solid State Circuits Conference (ESSCIRC …, 2023
22023
Foundry Monolithic 3D BEOL Transistor+ Memory Stack: ISO-Performance and Iso-Footprint BEOL Carbon Nanotube FET+ RRAM vs. FEOL Silicon FET+ RRAM
T Srimani, AC Yu, RM Radway, DT Rich, M Nelson, S Wong, D Murphy, ...
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2023
22023
Thermal Scaffolding for Ultra-Dense 3D Integrated Circuits
D Rich, A Kasperovich, M Malakoutian, RM Radway, S Hagiwara, ...
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
Ultra-Dense 3D Physical Design Unlocks New Architectural Design Points with Large Benefits
T Srimani, RM Radway, J Kim, K Prabhu, D Rich, C Gilardi, P Raina, ...
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
12023
EMBER: Efficient Multiple-Bits-Per-Cell Embedded RRAM Macro for High-Density Digital Storage
A Levy, LR Upton, MD Scott, D Rich, WS Khwa, YD Chih, MF Chang, ...
IEEE Journal of Solid-State Circuits, 2024
2024
N3XT 3D Technology Foundations and Their Lab-to-Fab: Omni 3D Logic, Logic+ Memory Ultra-Dense 3D, 3D Thermal Scaffolding
T Srimani, A Bechdolt, S Choi, C Gilardi, A Kasperovich, S Li, Q Lin, ...
2023 International Electron Devices Meeting (IEDM), 1-4, 2023
2023
Testbench on a Chip: A Yield Test Vehicle for Resistive Memory Devices
LR Upton, G Lallement, MD Scott, J Taylor, RM Radway, D Rich, ...
2023 24th International Symposium on Quality Electronic Design (ISQED), 1-7, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–11