Follow
Anantha Chandrakasan
Title
Cited by
Cited by
Year
Energy-efficient communication protocol for wireless microsensor networks
WR Heinzelman, A Chandrakasan, H Balakrishnan
Proceedings of the 33rd annual Hawaii international conference on system …, 2000
219852000
An application-specific protocol architecture for wireless microsensor networks
WB Heinzelman, AP Chandrakasan, H Balakrishnan
IEEE Transactions on wireless communications 1 (4), 660-670, 2002
150702002
Digital integrated circuits
JM Rabaey, A Chandrakasan, B Nikolic
Prentice hall, 2002
98182002
Low-power CMOS digital design
AP Chandrakasan, S Sheng, RW Brodersen
IEICE Transactions on Electronics 75 (4), 371-382, 1992
57411992
Physical layer driven protocol and algorithm design for energy-efficient wireless sensor networks
E Shih, SH Cho, N Ickes, R Min, A Sinha, A Wang, A Chandrakasan
Proceedings of the 7th annual international conference on Mobile computing …, 2001
15512001
Minimizing power consumption in digital CMOS circuits
AP Chandrakasan, RW Brodersen
Proceedings of the IEEE 83 (4), 498-523, 1995
14341995
Vibration-to-electric energy conversion
S Meninger, JO Mur-Miranda, R Amirtharajah, A Chandrakasan, J Lang
Proceedings of the 1999 international symposium on Low power electronics and …, 1999
13951999
Dynamic power management in wireless sensor networks
A Sinha, A Chandrakasan
IEEE Design & Test of Computers 18 (2), 62-74, 2001
11152001
Design of high-performance microprocessor circuits
AP Chandrakasan, WJ Bowhill, F Fox
Wiley-IEEE press, 2000
10232000
Self-powered signal processing using vibration-based power generation
R Amirtharajah, AP Chandrakasan
IEEE journal of solid-state circuits 33 (5), 687-695, 1998
9881998
Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
JW Tschanz, JT Kao, SG Narendra, R Nair, DA Antoniadis, ...
IEEE Journal of Solid-State Circuits 37 (11), 1396-1402, 2002
9852002
Upper bounds on the lifetime of sensor networks
M Bhardwaj, T Garnett, AP Chandrakasan
ICC 2001. IEEE International Conference on Communications. Conference Record …, 2001
9802001
Sub-threshold design for ultra low-power systems
A Wang, BH Calhoun, AP Chandrakasan
Springer, 2006
939*2006
{GAZELLE}: A low latency framework for secure neural network inference
C Juvekar, V Vaikuntanathan, A Chandrakasan
27th USENIX security symposium (USENIX security 18), 1651-1669, 2018
8962018
Bounding the lifetime of sensor networks via optimal role assignments
M Bhardwaj, AP Chandrakasan
Proceedings. Twenty-First Annual Joint Conference of the IEEE Computer and …, 2002
7612002
A 180-mV subthreshold FFT processor using a minimum energy design methodology
A Wang, A Chandrakasan
IEEE Journal of solid-state circuits 40 (1), 310-319, 2005
7582005
A battery-less thermoelectric energy harvesting interface circuit with 35 mV startup voltage
YK Ramadass, AP Chandrakasan
IEEE Journal of Solid-State Circuits 46 (1), 333-341, 2010
7482010
An efficient piezoelectric energy harvesting interface circuit using a bias-flip rectifier and shared inductor
YK Ramadass, AP Chandrakasan
IEEE journal of solid-state circuits 45 (1), 189-204, 2009
7252009
Modeling and sizing for minimum energy operation in subthreshold circuits
BH Calhoun, A Wang, A Chandrakasan
IEEE Journal of Solid-State Circuits 40 (9), 1778-1786, 2005
6852005
Optimizing power using transformations
AP Chandrakasan, M Potkonjak, R Mehra, J Rabaey, RW Brodersen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1995
6621995
The system can't perform the operation now. Try again later.
Articles 1–20