Follow
Chao Wang
Chao Wang
Huazhong University of Science and Technology
Verified email at ieee.org
Title
Cited by
Cited by
Year
The electronic stethoscope
S Leng, RS Tan, KTC Chai, C Wang, D Ghista, L Zhong
Biomedical engineering online 14, 1-37, 2015
2892015
A review of algorithm & hardware design for AI-based biomedical applications
Y Wei, J Zhou, Y Wang, Y Liu, Q Liu, J Luo, C Wang, F Ren, L Huang
IEEE transactions on biomedical circuits and systems 14 (2), 145-163, 2020
952020
An Ultra-Low Voltage Level Shifter using Revised Wilson Current Mirror for Fast and Energy-Efficient Wide-Range Voltage Conversion from Sub-Threshold to I/O Voltage
J Zhou, C Wang, X Liu, X Zhang, M Je
IEEE Trans. on Circuits and Systems Part I 62 (3), 697-706, 2015
952015
Biodegradable and Flexible Resistive Memory for Transient Electronics
X Ji, L Song, S Zhong, Y Jiang, KG Lim, C Wang, R Zhao
Journal of Physical Chemistry C 122 (29), 16909–16915, 2019
602019
Discrete Wavelet Transform Decomposition Level Determination Exploiting Sparseness Measurement
L Liao, C Wang, X Liu
International Conference on Electrical, Computer, Electronics and …, 2013
492013
Efficient VLSI Architecture for Lifting-Based Discrete Wavelet Packet Transform
C Wang, WS Gan
IEEE Trans. on Circuits and Systems Part II 54 (5), 422-426, 2007
492007
Near-Threshold Energy and Area Efficient Reconfigurable DWPT/DWT Processor for Healthcare Monitoring Applications
C Wang, J Zhou, L Liao, J Lan, J Luo, X Liu, M Je
IEEE Trans. on Circuits and Systems Part II 62 (1), 70-74, 2015
432015
HEPP: A New In-Situ Timing-Error Prediction and Prevention Technique for Variation-Tolerant Ultra-Low-Voltage Designs
J Zhou, X Liu, YH Lam, C Wang, KH Chang, J Lan, M Je
IEEE Asian Solid State Circuit Conference, 129-132, 2013
412013
BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems
C Wang, J Zhou, R Weerasekera, B Zhao, X Liu, P Royannez, M Je
IEEE Trans. on Circuits and Systems Part I 62 (1), 139-148, 2015
382015
A 457-nW Near-Threshold Cognitive Multi-Functional ECG Processor for Long-Term Cardiac Monitoring
X Liu, J Zhou, Y Yang, B Wang, J Lan, C Wang, J Luo, WL Goh, TH Kim, ...
IEEE Journal of Solid-State Circuits 49 (11), 2422 -2434, 2014
372014
Efficient design of spiking neural network with STDP learning based on fast CORDIC
J Wu, Y Zhan, Z Peng, X Ji, G Yu, R Zhao, C Wang
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (6), 2522-2534, 2021
332021
A Fast and Energy-Efficient Level Shifter with Wide Shifting Range from Sub-threshold up to I/O Voltage
J Zhou, C Wang, X Liu, X Zhang, M Je
IEEE Asian Solid State Circuit Conference, 137-140, 2013
302013
Tunable Resistive Switching Enabled by Malleable Redox Reaction in the Nano-Vacuum Gap
X Ji, C Wang, KG Lim, CC Tan, TC Chong, R Zhao
ACS Applied Materials & Interfaces 11 (23), 20965, 2019
222019
A Low-Cost 256-Point FFT Processor for Portable Speech and Audio Applications
C Wang, WS Gan, CC Jong, J Luo
International Symposium on Integrated Circuits, 81-84, 2007
222007
Fast and Energy-Efficient Low-Voltage Level Shifters
J Zhou, C Wang, X Liu, M Je
Microelectronics Journal 46 (1), 75-80, 2015
212015
Integrated wideband chip-scale RF transceivers for radar sensing and UWB communications: A survey
Z Fang, W Wang, J Wang, B Liu, K Tang, L Lou, CH Heng, C Wang, ...
IEEE Circuits and Systems Magazine 22 (1), 40-76, 2022
182022
FPGA-based SHA-3 acceleration on a 32-bit processor via instruction set extension
Y Wang, Y Shi, C Wang, Y Ha
2015 IEEE International Conference on Electron Devices and Solid-State …, 2015
182015
Ultra-Low-Energy Near-Threshold Biomedical Signal Processor for Versatile Wireless Health Monitoring
X Liu, J Zhou, X Liao, C Wang, J Luo, M Madihian, M Je
IEEE Asian Solid-State Circuits Conference, 381-384, 2012
172012
A 457-nW Cognitive Multi-Functional ECG Processor
X Liu, J Zhou, Y Yang, B Wang, J Lan, C Wang, J Luo, WL Goh, TH Kim, ...
IEEE Asian Solid State Circuit Conference, 141-144, 2013
162013
TSV-Based PUF Circuit for 3DIC Sensor Nodes in IoT Applications
C Wang, J Zhou, K Guruprasad, X Liu, TH Kim, R Weerasekera
IEEE Conference on Electron Devices and Solid-State Circuits, 313-316, 2015
122015
The system can't perform the operation now. Try again later.
Articles 1–20