Follow
Teruo Tanimoto
Teruo Tanimoto
Faculty of Information Science and Electrical Engineering, Kyushu University, Japan
Verified email at m.kyushu-u.ac.jp - Homepage
Title
Cited by
Cited by
Year
Scalability-based manycore partitioning
H Sasaki, T Tanimoto, K Inoue, H Nakamura
Proceedings of the 21st international conference on Parallel architectures …, 2012
562012
SuperNPU: An extremely fast neural processing unit using superconducting logic devices
K Ishida, I Byun, I Nagaoka, K Fukumitsu, M Tanaka, S Kawakami, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
482020
32 GHz 6.5 mW gate-level-pipelined 4-bit processor using superconductor single-flux-quantum logic
K Ishida, M Tanaka, I Nagaoka, T Ono, S Kawakami, T Tanimoto, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
312020
Superconductor computing for neural networks
K Ishida, I Byun, I Nagaoka, K Fukumitsu, M Tanaka, S Kawakami, ...
Ieee Micro 41 (3), 19-26, 2021
232021
Arithmetic processing apparatus, information processing apparatus, and control method of arithmetic processing apparatus
T Tanimoto
US Patent App. 14/576,918, 2015
162015
Information processing apparatus, input and output control device, and method of controlling information processing apparatus
T Tanimoto
US Patent 9,753,872, 2017
122017
Q3DE: A fault-tolerant quantum computer architecture for multi-bit burst errors by cosmic rays
Y Suzuki, T Sugiyama, T Arai, W Liao, K Inoue, T Tanimoto
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2022
112022
Enhanced dependence graph model for critical path analysis on modern out-of-order processors
T Tanimoto, T Ono, K Inoue, H Sasaki
IEEE Computer Architecture Letters 16 (2), 111-114, 2017
112017
XQsim: modeling cross-technology control processors for 10+K qubit quantum computers
JK Ilkwon Byun, Junpyo Kim, Dongmoon Min, Ikki Nagaoka, Kosuke Fukumitsu ...
Proceedings of the 49th Annual International Symposium on Computer …, 2022
9*2022
Why do programs have heavy tails?
H Sasaki, FH Su, T Tanimoto, S Sethumadhavan
2017 IEEE International Symposium on Workload Characterization (IISWC), 135-145, 2017
82017
Dependence graph model for accurate critical path analysis on out-of-order processors
T Tanimoto, T Ono, K Inoue
Journal of information processing 25, 983-992, 2017
72017
FlexDAS: A flexible direct attached storage for I/O intensive applications
T Ono, Y Konishi, T Tanimoto, N Iwamatsu, T Miyoshi, J Tanaka
2014 IEEE international conference on big data (big data), 147-152, 2014
62014
Wit-greedy: hardware system design of weighted iterative greedy decoder for surface code
W Liao, Y Suzuki, T Tanimoto, Y Ueno, Y Tokunaga
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
42023
A flexible direct attached storage for a data intensive application
T Ono, Y Konishi, T Tanimoto, N Iwamatsu, T Miyoshi, J Tanaka
IEICE TRANSACTIONS on Information and Systems 98 (12), 2168-2177, 2015
32015
Circuit designs for practical-scale fault-tolerant quantum computing
Y Suzuki, Y Ueno, W Liao, M Tanaka, T Tanimoto
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2023
22023
50-GFLOPS Floating-Point Adder and Multiplier Using Gate-Level-Pipelined Single-Flux-Quantum Logic With Frequency-Increased Clock Distribution
I Nagaoka, R Kashima, M Tanaka, S Kawakami, T Tanimoto, T Yamashita, ...
IEEE Transactions on Applied Superconductivity 33 (4), 1-11, 2023
22023
Practical error modeling toward realistic NISQ simulation
T Tanimoto, S Matsuo, S Kawakami, Y Tabuchi, M Hirokawa, K Inoue
2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 291-293, 2020
22020
CPCI stack: Metric for accurate bottleneck analysis on OoO microprocessors
T Tanimoto, T Ono, K Inoue
2017 Fifth International Symposium on Computing and Networking (CANDAR), 166-172, 2017
22017
System, information processing device, and method
T Tanimoto, T Miyoshi
US Patent App. 15/139,954, 2016
22016
メニーコアプロセッサにおける競合とスケーラビリティを考慮したスレッドスケジューリング
谷本輝夫, 佐々木広, 三輪忍, 中村宏
研究報告計算機アーキテクチャ (ARC) 2011 (31), 1-7, 2011
22011
The system can't perform the operation now. Try again later.
Articles 1–20