Volgen
Gokul Krishnan
Gokul Krishnan
ML Architect@Apple
Geverifieerd e-mailadres voor apple.com
Titel
Geciteerd door
Geciteerd door
Jaar
Mnsim 2.0: A behavior-level modeling tool for memristor-based neuromorphic computing systems
Z Zhu, H Sun, K Qiu, L Xia, G Krishnan, G Dai, D Niu, X Chen, XS Hu, ...
Proceedings of the 2020 on Great Lakes Symposium on VLSI, 83-88, 2020
572020
Accurate inference with inaccurate rram devices: A joint algorithm-design solution
G Charan, A Mohanty, X Du, G Krishnan, RV Joshi, Y Cao
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 6 …, 2020
362020
Interconnect-Aware Area and Energy Optimization for In-Memory Acceleration of DNNs
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
IEEE Design and Test, 2020
352020
SIAM: Chiplet-based scalable in-memory acceleration with mesh for deep neural networks
G Krishnan, SK Mandal, M Pannala, C Chakrabarti, JS Seo, UY Ogras, ...
ACM Transactions on Embedded Computing Systems (TECS) 20 (5s), 1-24, 2021
322021
A Latency-Optimized Reconfigurable NoC for In-Memory Acceleration of DNNs
SK Mandal, G Krishnan, C Chakrabarti, J Seo, Y Cao, U Ogras
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1-14, 2020
322020
Accurate Inference with Inaccurate RRAM Devices: Statistical Data, Model Transfer, and On-line Adaptation
G Charan, J Hazra, K Beckmann, X Du, G Krishnan, R Joshi, N Cady, ...
Design Automation Conference (DAC) 2020, 2020
312020
Impact of On-Chip Interconnect on In-Memory Acceleration of Deep Neural Networks
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
Journal on Emerging Technologies in Computing Systems, 2021
172021
Spikesim: An end-to-end compute-in-memory hardware evaluation tool for benchmarking spiking neural networks
A Moitra, A Bhattacharjee, R Kuang, G Krishnan, Y Cao, P Panda
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
132023
Robust RRAM-based In-Memory Computing in Light of Model Stability
G Krishnan, J Sun, J Hazra, X Du, M Liehr, Z Li, K Beckmann, RV Joshi, ...
IEEE International Reliability Physics Symposium (IRPS), 2021
132021
Towards Efficient Neural Networks On-a-chip: Joint Hardware-Algorithm Approaches
X Du, G Krishnan, A Mohanty, Z Li, G Charan, Y Cao
2019 China Semiconductor Technology International Conference (CSTIC), 2019
122019
COIN: Communication-Aware In-Memory Acceleration for Graph Convolutional Networks
SK Mandal, G Krishnan, AA Goksoy, GR Nair, Y Cao, UY Ogras
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2022
112022
Structural Pruning in Deep Neural Networks: A Small-World Approach
G Krishnan, X Du, Y Cao
https://arxiv.org/abs/1911.04453, 2019
112019
Interconnect-Centric Benchmarking of In-Memory Acceleration for DNNS
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
China Semiconductor Technology International Conference (CSTIC), 2021
102021
Small-world-based Structural Pruning for Efficient FPGA Inference of Deep Neural Networks
G Krishnan, Y Ma, Y Cao
2020 15th IEEE International Conference on Solid-State and Integrated …, 2020
102020
Hybrid RRAM/SRAM in-memory computing for robust DNN acceleration
G Krishnan, Z Wang, I Yeo, L Yang, J Meng, M Liehr, RV Joshi, NC Cady, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
92022
Exploring Model Stability of Deep Neural Networks for Reliable RRAM-based In-Memory Acceleration
G Krishnan, J Sun, J Hazra, X Du, M Liehr, Z Li, K Beckmann, RV Joshi, ...
IEEE Transactions on Computers, 2022
82022
Big-Little Chiplets for In-Memory Acceleration of DNNs: A Scalable Heterogeneous Architecture
G Krishnan, AA Goksoy, SK Mandal, Z Wang, C Chakrabarti, J Seo, ...
IEEE International Conference On Computer Aided Design (ICCAD), 2022
62022
System-Level Benchmarking of Chiplet-based IMC Architectures for Deep Neural Network Acceleration
G Krishnan, SK Mandal, C Chakrabarti, J Seo, UY Ogras, Y Cao
IEEE 14th International Conference on ASIC 2021, 2021
62021
Digital-assisted analog in-memory computing with rram devices
Z Wang, PS Nalla, G Krishnan, RV Joshi, NC Cady, D Fan, J Seo, Y Cao
2023 International VLSI Symposium on Technology, Systems and Applications …, 2023
52023
AI computing in light of 2.5 d interconnect roadmap: Big-little chiplets for in-memory acceleration
Z Wang, GR Nair, G Krishnan, SK Mandal, N Cherian, JS Seo, ...
2022 International Electron Devices Meeting (IEDM), 23.6. 1-23.6. 4, 2022
52022
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20