Volgen
Dr Terrence Mak
Titel
Geciteerd door
Geciteerd door
Jaar
A survey of wireless sensor network based air pollution monitoring systems
WY Yi, KM Lo, T Mak, KS Leung, Y Leung, ML Meng
Sensors 15 (12), 31392-31427, 2015
3832015
A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores
A Karkar, T Mak, KF Tong, A Yakovlev
IEEE Circuits and Systems Magazine 16 (1), 58-72, 2016
1682016
Adaptive routing in network-on-chips using a dynamic-programming network
T Mak, PYK Cheung, KP Lam, W Luk
IEEE Transactions on industrial electronics 58 (8), 3701-3716, 2010
1082010
On-FPGA communication architectures and design factors
TST Mak, P Sedcole, PYK Cheung, W Luk
2006 International Conference on Field Programmable Logic and Applications, 1-8, 2006
672006
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation
X Wang, M Yang, Y Jiang, P Liu, M Daneshtalab, M Palesi, T Mak
ACM Transactions on Embedded Computing Systems (TECS) 13 (2s), 1-21, 2014
612014
A resilient 2-D waveguide communication fabric for hybrid wired-wireless NoC design
MO Agyeman, QT Vien, A Ahmadinia, A Yakovlev, KF Tong, T Mak
IEEE Transactions on Parallel and Distributed systems 28 (2), 359-373, 2016
512016
Real-time simulation of passage-of-time encoding in cerebellum using a scalable FPGA-based system
J Luo, G Coapes, T Mak, T Yamazaki, C Tin, P Degenaar
IEEE transactions on biomedical circuits and systems 10 (3), 742-753, 2015
462015
Highly adaptive and deadlock‐free routing for three‐dimensional networks‐on‐chip
N Dahir, T Mak, R Al‐Dujaily, A Yakovlev
IET Computers & Digital Techniques 7 (6), 255-263, 2013
442013
Hybrid wire‐surface wave interconnects for next‐generation networks‐on‐chip
AJ Karkar, JE Turner, K Tong, R AI‐Dujaily, T Mak, A Yakovlev, F Xia
IET Computers & Digital Techniques 7 (6), 294-303, 2013
392013
Embedded computation of maximum-likelihood phylogeny inference using platform FPGA
TST Mak, KP Lam
Proceedings. 2004 IEEE Computational Systems Bioinformatics Conference, 2004 …, 2004
382004
Adaptive routing algorithms for lifetime reliability optimization in network-on-chip
L Wang, X Wang, T Mak
IEEE Transactions on Computers 65 (9), 2896-2902, 2015
342015
Real-time FPGA-based multichannel spike sorting using Hebbian eigenfilters
B Yu, T Mak, X Li, F Xia, A Yakovlev, Y Sun, CS Poon
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 1 (4 …, 2011
342011
Bubble budgeting: Throughput optimization for dynamic workloads by exploiting dark cores in many core systems
X Wang, AK Singh, B Li, Y Yang, H Li, T Mak
IEEE Transactions on Computers 67 (2), 178-192, 2017
322017
A component-based FPGA design framework for neuronal ion channel dynamics simulations
TST Mak, G Rachmuth, KP Lam, CS Poon
IEEE transactions on neural systems and rehabilitation engineering 14 (4 …, 2006
302006
Improving the efficiency of thermal covert channels in multi-/many-core systems
Z Long, X Wang, Y Jiang, G Cui, L Zhang, T Mak
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
292018
Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip
A Karkar, N Dahir, K Tong, T Mak, A Yakovlev
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-4, 2014
292014
A DP-network for optimal dynamic routing in network-on-chip
T Mak, PYK Cheung, W Luk, KP Lam
Proceedings of the 7th IEEE/ACM international conference on Hardware …, 2009
292009
Embedded transitive closure network for runtime deadlock detection in networks-on-chip
T Mak, F Xia, A Yakovlev, M Palesi
IEEE Transactions on Parallel and Distributed Systems 23 (7), 1205-1215, 2011
272011
Effectiveness of HT-assisted sinkhole and blackhole denial of service attacks targeting mesh networks-on-chip
L Zhang, X Wang, Y Jiang, M Yang, T Mak, AK Singh
Journal of Systems Architecture 89, 84-94, 2018
262018
High speed GAML-based phylogenetic tree reconstruction using HW/SW codesign
TST Mak, KP Lam
Computational Systems Bioinformatics. CSB2003. Proceedings of the 2003 IEEE …, 2003
252003
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20