Follow
Farshad Moradi
Farshad Moradi
Professor, Department of Electrical and Computer Engineering, Aarhus University
Verified email at ece.au.dk - Homepage
Title
Cited by
Cited by
Year
Biointegrated and wirelessly powered implantable brain devices: A review
R Das, F Moradi, H Heidari
IEEE transactions on biomedical circuits and systems 14 (2), 343-358, 2020
1282020
Asymmetrically doped FinFETs for low-power robust SRAMs
F Moradi, SK Gupta, G Panagopoulos, DT Wisland, H Mahmoodi, K Roy
IEEE transactions on electron devices 58 (12), 4241-4249, 2011
852011
Domino logic designs for high-performance and leakage-tolerant applications
F Moradi, TV Cao, EI Vatajelu, A Peiravi, H Mahmoodi, DT Wisland
Integration 46 (3), 247-254, 2013
792013
Electronic contact lens: A platform for wireless health monitoring applications
M Yuan, R Das, R Ghannam, Y Wang, J Reboud, R Fromme, F Moradi, ...
Advanced Intelligent Systems 2 (4), 1900190, 2020
582020
A wearable ear-EEG recording system based on dry-contact active electrodes
X Zhou, Q Li, S Kilsgaard, F Moradi, SL Kappel, P Kidmose
2016 IEEE Symposium on Vlsi Circuits (Vlsi-Circuits), 1-2, 2016
582016
Comparative study of FinFETs versus 22nm bulk CMOS technologies: SRAM design perspective
H Farkhani, A Peiravi, JM Kargaard, F Moradi
2014 27th IEEE International System-on-Chip Conference (SOCC), 449-454, 2014
572014
65nm sub-threshold 11t-sram for ultra low voltage applications
F Moradi, DT Wisland, S Aunet, H Mahmoodi, TV Cao
2008 IEEE International SOC Conference, 113-118, 2008
502008
A new leakage-tolerant design for high fan-in domino circuits
F Moradi, A Peiravi, H Mahmoodi
Proceedings. The 16th International Conference on Microelectronics, 2004 …, 2004
492004
Pain detection from facial images using unsupervised feature learning approach
R Kharghanian, A Peiravi, F Moradi
2016 38th Annual International Conference of the IEEE Engineering in …, 2016
412016
A high speed and leakage-tolerant domino logic for high fan-in gates
F Moradi, H Mahmoodi, A Peiravi
Proceedings of the 15th ACM Great Lakes symposium on VLSI, 478-481, 2005
412005
STT-RAM energy reduction using self-referenced differential write termination technique
H Farkhani, M Tohidi, A Peiravi, JK Madsen, F Moradi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (2), 476-487, 2016
402016
Low-power high-input-impedance EEG signal acquisition SoC with fully integrated IA and signal-specific ADC for wearable applications
M Tohidi, JK Madsen, F Moradi
IEEE transactions on biomedical circuits and systems 13 (6), 1437-1450, 2019
382019
Ultra low power full adder topologies
F Moradi, DT Wisland, H Mahmoodi, S Aunet, TV Cao, A Peiravi
2009 IEEE International Symposium on Circuits and Systems, 3158-3161, 2009
382009
Low power design for future wearable and implantable devices
K Lundager, B Zeinali, M Tohidi, JK Madsen, F Moradi
Journal of Low Power Electronics and Applications 6 (4), 20, 2016
352016
A low-power fast tag comparator by modifying charging scheme of wide fan-in dynamic OR gates
M Nasserian, M Kafi-Kangi, M Maymandi-Nejad, F Moradi
Integration 52, 129-141, 2016
322016
Low-voltage, low-power, and wide-tuning-range ring-VCO for frequency ΔΣ modulator
TV Cao, DT Wisland, TS Lande, F Moradi
2008 NORCHIP, 79-84, 2008
322008
A low-power high-speed spintronics-based neuromorphic computing system using real-time tracking method
H Farkhani, M Tohidi, S Farkhani, JK Madsen, F Moradi
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (3 …, 2018
312018
Progressive scaled STT-RAM for approximate computing in multimedia applications
B Zeinali, D Karsinos, F Moradi
IEEE Transactions on Circuits and Systems II: Express Briefs 65 (7), 938-942, 2017
312017
A new asymmetric 6T SRAM cell with a write assist technique in 65 nm CMOS technology
H Farkhani, A Peiravi, F Moradi
Microelectronics Journal 45 (11), 1556-1565, 2014
292014
Leakage Tolerant, Noise Immune domino logic for circuit design in the ultra deep sub-micron CMOS technology for haigh fan-in gates
A Peiravi, F Moradi, DT Wisland
Journal of Applied Sciences 9 (2), 392-396, 2009
272009
The system can't perform the operation now. Try again later.
Articles 1–20