Volgen
Peng Gu
Peng Gu
Geverifieerd e-mailadres voor google.com - Homepage
Titel
Geciteerd door
Geciteerd door
Jaar
Technological exploration of rram crossbar array for matrix-vector multiplication
P Gu, B Li, T Tang, S Yu, Y Cao, Y Wang, H Yang
The 20th Asia and South Pacific Design Automation Conference, 106-111, 2015
2372015
MNSIM: Simulation platform for memristor-based neuromorphic computing system
L Xia, B Li, T Tang, P Gu, PY Chen, S Yu, Y Cao, Y Wang, Y Xie, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
1972017
RRAM-based analog approximate computing
B Li, P Gu, Y Shan, Y Wang, Y Chen, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
1412015
Scope: A stochastic computing engine for dram-based in-situ accelerator
S Li, AO Glova, X Hu, P Gu, D Niu, KT Malladi, H Zheng, B Brennan, Y Xie
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
1062018
Merging the interface: Power, area and accuracy co-optimization for rram crossbar-based mixed-signal computing system
B Li, L Xia, P Gu, Y Wang, H Yang
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
972015
iPIM: Programmable In-Memory Image Processing Accelerator Using Near-Bank Architecture
P Gu, X Xie, Y Ding, G Chen, W Zhang, D Niu, Y Xie
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
762020
Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach
M Yan, X Hu, S Li, A Basak, H Li, X Ma, I Akgun, Y Feng, P Gu, L Deng, ...
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
762019
SpaceA: Sparse Matrix Vector Multiplication on Processing-in-Memory Accelerator
X Xie, Z Liang, P Gu, A Basak, L Deng, L Liang, X Hu, Y Xie
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
712021
MEDAL: Scalable DIMM based Near Data Processing Accelerator for DNA Seeding Algorithm
W Huangfu, X Li, S Li, X Hu, P Gu, Y Xie
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
712019
Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5 D/3D integration
D Stow, I Akgun, R Barnes, P Gu, Y Xie
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2016
542016
Energy Efficient RRAM Spiking Neural Network for Real Time Classification
Y Wang, T Tang, L Xia, B Li, P Gu, H Yang, H Li, Y Xie
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, 189-194, 2015
482015
Leveraging 3D technologies for hardware security: Opportunities and challenges
P Gu, S Li, D Stow, R Barnes, L Liu, Y Xie, E Kursun
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 347-352, 2016
472016
Nvsim-cam: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory
S Li, L Liu, P Gu, C Xu, Y Xie
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2016
392016
DLUX: A LUT-based near-bank accelerator for data center deep learning training workloads
P Gu, X Xie, S Li, D Niu, H Zheng, KT Malladi, Y Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
312020
Thermal-aware 3D design for side-channel information leakage
P Gu, D Stow, R Barnes, E Kursun, Y Xie
2016 IEEE 34th International Conference on Computer Design (ICCD), 520-527, 2016
302016
Security Threats and Countermeasures in Three-Dimensional Integrated Circuits
J Dofe, P Gu, D Stow, Q Yu, E Kursun, Y Xie
Proceedings of the on Great Lakes Symposium on VLSI 2017, 321-326, 2017
222017
Exploring the precision limitation for RRAM-based analog approximate computing
B Li, P Gu, Y Wang, H Yang
IEEE Design & Test 33 (1), 51-58, 2015
212015
NEST: DIMM based Near-Data-Processing Accelerator for K-mer Counting
W Huangfu, KT Malladi, S Li, P Gu, Y Xie
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2020
192020
Cost and thermal analysis of high-performance 2.5 D and 3D integrated circuit design space
D Stow, I Akgun, R Barnes, P Gu, Y Xie
2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 637-642, 2016
182016
HBM based memory lookup engine for deep learning accelerator
P Gu, KT Malladi, H Zheng
US Patent 11,119,677, 2021
172021
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20