Volgen
Mimi Xie
Titel
Geciteerd door
Geciteerd door
Jaar
Ftrans: energy-efficient acceleration of transformers using fpga
B Li, S Pandey, H Fang, Y Lyv, J Li, J Chen, M Xie, L Wan, H Liu, C Ding
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics …, 2020
1112020
Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor
M Xie, M Zhao, C Pan, J Hu, Y Liu, CJ Xue
Proceedings of the 52nd annual design automation conference, 1-6, 2015
922015
Software assisted non-volatile register reduction for energy harvesting based cyber-physical system
M Zhao, Q Li, M Xie, Y Liu, J Hu, CJ Xue
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 567-572, 2015
452015
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems
M Xie, M Zhao, C Pan, H Li, Y Liu, Y Zhang, CJ Xue, J Hu
Proceedings of the eleventh IEEE/ACM/ifip international conference on …, 2016
412016
Low overhead software wear leveling for hybrid PCM+ DRAM main memory on embedded systems
J Hu, M Xie, C Pan, CJ Xue, Q Zhuge, EHM Sha
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (4), 654-663, 2014
412014
Checkpoint-aware instruction scheduling for nonvolatile processor with multiple functional units
M Xie, C Pan, J Hu, C Yang, Y Chen
The 20th Asia and South Pacific Design Automation Conference, 316-321, 2015
342015
Stack-size sensitive on-chip memory backup for self-powered nonvolatile processors
M Zhao, C Fu, Z Li, Q Li, M Xie, Y Liu, J Hu, Z Jia, CJ Xue
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
312017
3M-PCM: Exploiting multiple write modes MLC phase change main memory in embedded systems
C Pan, M Xie, J Hu, Y Chen, C Yang
Proceedings of the 2014 International Conference on Hardware/Software …, 2014
302014
ENZYME: An energy-efficient transient computing paradigm for ultralow self-powered IoT edge devices
C Pan, M Xie, J Hu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
292018
Securing emerging nonvolatile main memory with fast and energy-efficient AES in-memory implementation
M Xie, S Li, AO Glova, J Hu, Y Xie
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (11 …, 2018
282018
Sparse progressive distillation: Resolving overfitting under pretrain-and-finetune paradigm
S Huang, D Xu, IEH Yen, S Chang, B Li, S Chen, M Xie, H Liu, C Ding
Annual Meeting of the Association for Computational Linguistics (ACL) 2022, 1-11, 2022
262022
Avoiding data inconsistency in energy harvesting powered embedded systems
M Xie, C Pan, M Zhao, Y Liu, CJ Xue, J Hu
ACM Transactions on Design Automation of Electronic Systems (TODAES) 23 (3 …, 2018
232018
Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems
C Pan, S Gu, M Xie, C Xue, J Hu
IEEE Transactions on Multi-Scale Computing Systems, 2016
232016
Non-volatile registers aware instruction selection for embedded systems
M Xie, C Pan, J Hu, CJ Xue, Q Zhuge
2014 IEEE 20th International Conference on Embedded and Real-Time Computing …, 2014
232014
Binary complex neural network acceleration on fpga
H Peng, S Zhou, S Weitze, J Li, S Islam, T Geng, A Li, W Zhang, M Song, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
212021
A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting
C Pan, M Xie, Y Liu, Y Wang, CJ Xue, Y Wang, Y Chen, J Hu
ACM SIGPLAN Notices 52 (5), 101-110, 2017
202017
An end-to-end multi-task object detection using embedded gpu in autonomous driving
S Zhou, M Xie, Y Jin, F Miao, C Ding
2021 22nd International Symposium on Quality Electronic Design (ISQED), 122-128, 2021
192021
Modeling and optimization for self-powered non-volatile iot edge devices with ultra-low harvesting power
C Pan, M Xie, S Han, ZH Mao, J Hu
ACM Transactions on Cyber-Physical Systems 3 (3), 1-26, 2019
182019
AIM: Fast and energy-efficient AES in-memory implementation for emerging non-volatile main memory
M Xie, S Li, AO Glova, J Hu, Y Wang, Y Xie
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 625-628, 2018
182018
A novel STT-RAM-based hybrid cache for intermittently powered processors in IoT devices
M Xie, C Pan, Y Zhang, J Hu, Y Liu, CJ Xue
IEEE Micro 39 (1), 24-32, 2018
172018
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20