Follow
Edward Lee
Title
Cited by
Cited by
Year
A ferroelectric fet-based processing-in-memory architecture for dnn acceleration
Y Long, D Kim, E Lee, P Saha, BA Mudassar, X She, AI Khan, ...
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 5 …, 2019
562019
Q-pim: A genetic algorithm based flexible dnn quantization method and application to processing-in-memory platform
Y Long, E Lee, D Kim, S Mukhopadhyay
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
262020
A Fully Synthesized Integrated Buck Regulator with Auto-generated GDS-II in 65nm CMOS Process
VCK Chekuri, NM Rahman, E Lee, A Signh, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
112020
Flex-PIM: A Ferroelectric FET based Vector Matrix Multiplication Engine with Dynamical Bitwidth and Floating Point Precision
Y Long, E Lee, D Kim, S Mukhopadhyay
2020 International Joint Conference on Neural Networks (IJCNN), 1-8, 2020
102020
RTL-to-GDS tool flow and design-for-test solutions for monolithic 3D ICs
H Park, K Chang, BW Ku, J Kim, E Lee, D Kim, A Chaudhuri, S Banerjee, ...
2019 56th ACM/IEEE Design Automation Conference (DAC), 1-4, 2019
102019
RTL-to-GDS design tools for monolithic 3D ICs
J Kim, G Murali, P Vanna-Iampikul, E Lee, D Kim, A Chaudhuri, ...
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-8, 2020
82020
Advances in Design and Test of Monolithic 3-D ICs
A Chaudhuri, S Banerjee, H Park, J Kim, G Murali, E Lee, D Kim, SK Lim, ...
IEEE Design & Test 37 (4), 92-100, 2020
82020
A system-in-package based energy harvesting for IoT devices with integrated voltage regulators and embedded inductors
E Lee, MF Amir, S Sivapurapu, C Pardue, HM Torun, M Bellaredj, ...
2018 IEEE 68th Electronic Components and Technology Conference (ECTC), 1726-1731, 2018
52018
MONETA: A Processing-In-Memory-Based Hardware Platform for the Hybrid Convolutional Spiking Neural Network With Online Learning
D Kim, B Chakraborty, X She, E Lee, B Kang, S Mukhopadhyay
Frontiers in Neuroscience 16, 2022
42022
Cross-Layer Noise Analysis in Smart Digital Pixel Sensors With Integrated Deep Neural Network
M Lee, M Mukherjee, E Lee, P Saha, MF Amir, T Na, S Mukhopadhyay
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 10 (4 …, 2020
32020
An SRAM Compiler for Monolithic-3-D Integrated Circuit With Carbon Nanotube Transistors
D Kim, E Lee, J Seo, J Kim, SK Lim, S Mukhopadhyay
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 7 …, 2021
22021
Aging Challenges in On-chip Voltage Regulator Design
VCK Chekuri, A Singh, NM Rahman, E Lee, S Mukhopadhyay
2020 IEEE International Reliability Physics Symposium (IRPS), 1-8, 2020
22020
A Configurable Dual-Mode PRINCE Cipher with Security Aware Pipelining in 65nm for High Throughput Applications
NM Rahman, E Lee, VCK Chekuri, A Singh, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
22020
A ReRAM Memory Compiler with Layout-Precise Performance Evaluation
E Lee, D Kim, VCK Chekuri, Y Long, S Mukhopadhyay
2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference …, 2019
22019
A ReRAM Memory Compiler for Monolithic 3D Integrated Circuits in a Carbon Nanotube Process
E Lee, D Kim, J Kim, SK Lim, S Mukhopadhyay
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (1), 1-20, 2021
12021
Energy Efficient Pixel-Parallel Read-Out Circuits for Digital Image Sensors using Cross-Layer Pixel Depth Control
M Mukherjee, BA Mudassar, M Lee, E Lee, S Mukhopadhyay
IEEE Sensors Journal, 2021
12021
A Low-Power Authentication IC for Visible-Light-Based Interrogation
E Lee, NM Rahman, VCK Chekuri, A Singh, S Mukhopadhyay
IEEE Transactions on Industrial Electronics 69 (3), 3120-3130, 2021
12021
An Authentication IC with Visible Light Based Interrogation in 65nm CMOS
E Lee, NM Rahman, VCK Chekuri, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
12020
A PFM boost harvester with System-level Self-tuned Maximum Power Point Tracking
E Lee, VCK Chekuri, S Mukhopadhyay
IEEE Transactions on Power Electronics, 2022
2022
Design of Low-Cost Energy Harvesting and Delivery Systems for Self-Powered Devices: Application to Authentication IC
E Lee
Georgia Institute of Technology, 2021
2021
The system can't perform the operation now. Try again later.
Articles 1–20