Follow
Wim Dehaene
Wim Dehaene
KULeuven ESAT MICAS
Verified email at esat.kuleuven.be - Homepage
Title
Cited by
Cited by
Year
Electrical modeling and characterization of through silicon via for three-dimensional ICs
G Katti, M Stucchi, K De Meyer, W Dehaene
IEEE transactions on Electron Devices 57 (1), 256-262, 2009
6552009
Read stability and write-ability analysis of SRAM cells for nanometer technologies
E Grossar, M Stucchi, K Maex, W Dehaene
IEEE Journal of solid-state circuits 41 (11), 2577-2588, 2006
5632006
Integrated STEM education: A systematic review of instructional practices in secondary education.
L Thibaut, S Ceuppens, H De Loof, J De Meester, L Goovaerts, A Struyf, ...
European Journal of STEM Education 3 (1), 2, 2018
5612018
14.5 envision: A 0.26-to-10tops/w subword-parallel dynamic-voltage-accuracy-frequency-scalable convolutional neural network processor in 28nm fdsoi
B Moons, R Uytterhoeven, W Dehaene, M Verhelst
2017 IEEE International Solid-State Circuits Conference (ISSCC), 246-247, 2017
4882017
Energy efficiency of the IEEE 802.15. 4 standard in dense wireless microsensor networks: Modeling and improvement perspectives
B Bougard, F Catthoor, DC Daly, A Chandrakasan, W Dehaene
Design, Automation, and Test in Europe: The Most Influential Papers of 10 …, 2008
4102008
Design issues and considerations for low-cost 3-D TSV IC technology
G Van der Plas, P Limaye, I Loi, A Mercha, H Oprins, C Torregiani, S Thijs, ...
IEEE Journal of Solid-State Circuits 46 (1), 293-307, 2010
3872010
Organic RFID transponder chip with data rate compatible with electronic product coding
K Myny, S Steudel, S Smout, P Vicca, F Furthner, B van der Putten, ...
Organic Electronics 11 (7), 1176-1179, 2010
2862010
3-D technology assessment: Path-finding the technology/design sweet-spot
P Marchal, B Bougard, G Katti, M Stucchi, W Dehaene, A Papanikolaou, ...
Proceedings of the IEEE 97 (1), 96-107, 2009
2772009
An 8-bit, 40-instructions-per-second organic microprocessor on plastic foil
K Myny, E Van Veenendaal, GH Gelinck, J Genoe, W Dehaene, ...
IEEE Journal of Solid-State Circuits 47 (1), 284-291, 2011
2402011
The influence of teachers’ attitudes and school context on instructional practices in integrated STEM education
L Thibaut, H Knipprath, W Dehaene, F Depaepe
Teaching and teacher education 71, 190-205, 2018
2162018
3D stacked IC demonstration using a through silicon via first approach
J Van Olmen, A Mercha, G Katti, C Huyghebaert, J Van Aelst, E Seppala, ...
2008 IEEE International Electron Devices Meeting, 1-4, 2008
1822008
Plastic circuits and tags for 13.56 MHz radio-frequency communication
K Myny, S Steudel, P Vicca, MJ Beenhakkers, NAJM Van Aerle, ...
Solid-State Electronics 53 (12), 1220-1226, 2009
1602009
Methods and apparatus for synchronization of training sequences
Y Vanderperren, W Dehaene
US Patent 7,286,617, 2007
1512007
A high-voltage output driver in a 2.5-V 0.25-/spl mu/m CMOS technology
B Serneels, T Piessens, M Steyaert, W Dehaene
IEEE Journal of Solid-State Circuits 40 (3), 576-583, 2005
143*2005
A 0.02mm2 65nm CMOS 30MHz BW all-digital differential VCO-based ADC with 64dB SNDR
J Daniels, W Dehaene, M Steyaert, A Wiesbauer
2010 Symposium on VLSI Circuits, 155-156, 2010
1242010
Unipolar organic transistor circuits made robust by dual-gate technology
K Myny, MJ Beenhakkers, NAJM van Aerle, GH Gelinck, J Genoe, ...
IEEE Journal of Solid-State Circuits 46 (5), 1223-1230, 2011
1212011
From UML/SysML to Matlab/Simulink: current state and future perspectives
Y Vanderperren, W Dehaene
Proceedings of the Design Automation & Test in Europe Conference 1, 1-1, 2006
1192006
How school context and personal factors relate to teachers’ attitudes toward teaching integrated STEM
L Thibaut, H Knipprath, W Dehaene, F Depaepe
International Journal of Technology and Design Education 28, 631-651, 2018
1042018
Technology-Aware Design of SRAM Memory Circuits
W Dehaene
Mar, 2007
1032007
A thin-film microprocessor with inkjet print-programmable memory
K Myny, S Smout, M Rockelé, A Bhoolokam, TH Ke, S Steudel, B Cobb, ...
Scientific reports 4 (1), 7398, 2014
992014
The system can't perform the operation now. Try again later.
Articles 1–20