Volgen
Amin Jadidi
Amin Jadidi
Geverifieerd e-mailadres voor google.com
Titel
Geciteerd door
Geciteerd door
Jaar
High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement
A Jadidi, M Arjomand, H Sarbazi-Azad
IEEE/ACM International Symposium on Low Power Electronics and Design, 79-84, 2011
1262011
A morphable phase change memory architecture considering frequent zero values
M Arjomand, A Jadidi, A Shafiee, H Sarbazi-Azad
2011 IEEE 29th International Conference on Computer Design (ICCD), 373-380, 2011
282011
Exploring the potential for collaborative data compression and hard-error tolerance in pcm memories
A Jadidi, M Arjomand, MK Tavana, DR Kaeli, MT Kandemir, CR Das
2017 47th Annual IEEE/IFIP International Conference on Dependable Systems …, 2017
232017
HL-PCM: MLC PCM main memory with accelerated read
M Arjomand, A Jadidi, MT Kandemir, A Sivasubramaniam, CR Das
IEEE Transactions on Parallel and Distributed Systems 28 (11), 3188-3200, 2017
162017
MLC PCM main memory with accelerated read
M Arjomand, A Jadidi, MT Kandemir, A Sivasubramaniam, C Das
2016 IEEE International Symposium on Performance Analysis of Systems and …, 2016
92016
A study on performance and power efficiency of dense non-volatile caches in multi-core systems
A Jadidi, M Arjomand, M Kandemir, C Das
Proceedings of the 2017 ACM SIGMETRICS/International Conference on …, 2017
72017
Tolerating write disturbance errors in PCM: Experimental characterization, analysis, and mechanisms
A Jadidi, M Kandemir, C Das
2018 IEEE 26th International Symposium on Modeling, Analysis, and Simulation …, 2018
62018
Performance and power-efficient design of dense non-volatile cache in CMPs
A Jadidi, M Arjomand, MT Kandemir, CR Das
IEEE Transactions on Computers 67 (7), 1054-1061, 2018
62018
Hybrid-comp: A criticality-aware compressed last-level cache
A Jadidi, M Arjomand, MT Kandemir, CR Das
2018 19th International Symposium on Quality Electronic Design (ISQED), 25-30, 2018
52018
Optimizing energy consumption in GPUS through feedback-driven CTA scheduling
A Jadidi, M Arjomand, MT Kandemir, CR Das
Proceedings of the 25th High Performance Computing Symposium, 1-12, 2017
52017
Leveraging value locality for efficient design of a hybrid cache in multicore processors
M Arjomand, A Jadidi, MT Kandemir, CR Das
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2017
22017
Kernel-Based Energy Optimization In GPUs
A Jadidi
The Pennsylvania State University, 2015
22015
Selective Caching: Avoiding Performance Valleys in Massively Parallel Architectures
A Jadidi, MT Kandemir, CR Das
2020 28th Euromicro International Conference on Parallel, Distributed and …, 2020
2020
Architectural Techniques to Enable Reliable And High Performance Memory Hierarchy in Chip Multi-Processors
A Jadidi
The Pennsylvania State University, 2018
2018
ISQED 2018 Best Paper candidates
A Jadidi, M Arjomand, MT Kandemir, CR Das, J Sim, M Imani, W Choi, ...
Energy 1, 3, 0
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–15