Follow
Jacob Abraham
Jacob Abraham
Verified email at cerc.utexas.edu
Title
Cited by
Cited by
Year
Algorithm-based fault tolerance for matrix operations
KH Huang, JA Abraham
IEEE transactions on computers 100 (6), 518-528, 1984
16411984
FERRARI: A flexible software-based fault and error injection system
GA Kanawati, NA Kanawati, JA Abraham
IEEE Transactions on computers 44 (2), 248-260, 1995
5801995
An improved algorithm for network reliability
JA Abraham
IEEE Transactions on Reliability 28 (1), 58-61, 1979
5731979
Test generation for microprocessors
Thatte, Abraham
IEEE transactions on computers 100 (6), 429-441, 1980
5361980
Addressing failures in exascale computing
M Snir, RW Wisniewski, JA Abraham, SV Adve, S Bagchi, P Balaji, J Belak, ...
The International Journal of High Performance Computing Applications 28 (2 …, 2014
5142014
FERRARI: A Tool for The Validation of System Dependability Properties.
GA Kanawati, NA Kanawati, JA Abraham
FTCS, 336-344, 1992
3641992
Load balancing in distributed systems
TCK Chou, JA Abraham
IEEE Transactions on Software Engineering, 401-412, 1982
3501982
Design and evaluation of system-level checks for on-line control flow error detection
Z Alkhalifa, VSS Nair, N Krishnamurthy, JA Abraham
IEEE Transactions on Parallel and Distributed Systems 10 (6), 627-641, 1999
3481999
Fault-tolerant matrix arithmetic and signal processing on highly concurrent computing structures
JY Jou, JA Abraham
Proceedings of the IEEE 74 (5), 732-741, 1986
3391986
Fault-tolerant FFT networks
JY Jou, JA Abraham
IEEE Transactions on Computers 37 (5), 548-561, 1988
3141988
Quantitative evaluation of soft error injection techniques for robust system design
H Cho, S Mirkhani, CY Cher, JA Abraham, S Mitra
Proceedings of the 50th Annual Design Automation Conference, 1-10, 2013
2942013
Efficient algorithms for testing semiconductor random-access memories
Nair, Thatte, Abraham
IEEE Transactions on Computers 100 (6), 572-576, 1978
2691978
Functional testing of microprocessors
Brahme, Abraham
IEEE transactions on Computers 100 (6), 475-485, 1984
2641984
Native mode functional test generation for processors with applications to self test and design validation
J Shen, JA Abraham
Proceedings International Test Conference 1998 (IEEE Cat. No. 98CH36270 …, 1998
2391998
Fault and error models for VLSI
JA Abraham, WK Fuchs
Proceedings of the IEEE 74 (5), 639-654, 1986
2301986
CRIS: A test cultivation program for sequential VLSI circuits
Saab, Saab, Abraham
1992 IEEE/ACM International Conference on Computer-Aided Design, 216-219, 1992
2201992
Real-number codes for fault-tolerant matrix operations on processor arrays
VSS Nair, JA Abraham
IEEE Transactions on Computers 39 (4), 426-435, 1990
2031990
A comparison of Dadda and Wallace multiplier delays
WJ Townsend, EE Swartzlander Jr, JA Abraham
Advanced signal processing algorithms, architectures, and implementations …, 2003
1892003
Algorithm-based fault tolerance on a hypercube multiprocessor
P Banerjee, JT Rahmeh, C Stunkel, VS Nair, K Roy, V Balasubramanian, ...
IEEE Transactions on Computers 39 (9), 1132-1145, 1990
1881990
Abstraction techniques for validation coverage analysis and test generation
D Moundanos, JA Abraham, YV Hoskote
IEEE Transactions on Computers 47 (1), 2-14, 1998
1721998
The system can't perform the operation now. Try again later.
Articles 1–20