Follow
Daehyun Kim
Daehyun Kim
Verified email at amazon.com - Homepage
Title
Cited by
Cited by
Year
A ferroelectric FET-based processing-in-memory architecture for DNN acceleration
Y Long, D Kim, E Lee, P Saha, BA Mudassar, X She, AI Khan, ...
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 5 …, 2019
552019
Q-pim: A genetic algorithm based flexible dnn quantization method and application to processing-in-memory platform
Y Long, E Lee, D Kim, S Mukhopadhyay
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
262020
Physically unclonable function circuit, and system and integrated circuit including the same
SW Park, DH Kim, MJ Noh, B Karpinskyy, YK Lee, Y Choi
US Patent 10,243,749, 2019
232019
A heterogeneous spiking neural network for unsupervised learning of spatiotemporal patterns
X She, S Dash, D Kim, S Mukhopadhyay
Frontiers in Neuroscience 14, 615756, 2021
192021
Integrated circuit for physically unclonable function and device including the same
B Karpinskyy, DH Kim, MJ Noh, SW Park, YK Lee, Y Choi
US Patent 10,439,613, 2019
142019
Processing-in-memory-based on-chip learning with spike-time-dependent plasticity in 65-nm cmos
D Kim, X She, NM Rahman, VCK Chekuri, S Mukhopadhyay
IEEE Solid-State Circuits Letters 3, 278-281, 2020
112020
ScieNet: Deep learning with spike-assisted contextual information extraction
X She, Y Long, D Kim, S Mukhopadhyay
Pattern Recognition 118, 108002, 2021
102021
Flex-PIM: A ferroelectric FET based vector matrix multiplication engine with dynamical bitwidth and floating point precision
Y Long, E Lee, D Kim, S Mukhopadhyay
2020 International Joint Conference on Neural Networks (IJCNN), 1-8, 2020
102020
RTL-to-GDS tool flow and design-for-test solutions for monolithic 3D ICs
H Park, K Chang, BW Ku, J Kim, E Lee, D Kim, A Chaudhuri, S Banerjee, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
102019
Genetic algorithm-based energy-aware CNN quantization for processing-in-memory architecture
B Kang, A Lu, Y Long, D Kim, S Yu, S Mukhopadhyay
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 11 (4 …, 2021
82021
RTL-to-GDS design tools for monolithic 3D ICs
J Kim, G Murali, P Vanna-Iampikul, E Lee, D Kim, A Chaudhuri, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-8, 2020
82020
Advances in design and test of monolithic 3-D ICs
A Chaudhuri, S Banerjee, H Park, J Kim, G Murali, E Lee, D Kim, SK Lim, ...
IEEE Design & Test 37 (4), 92-100, 2020
82020
Safe-dnn: a deep neural network with spike assisted feature extraction for noise robust inference
X She, P Saha, D Kim, Y Long, S Mukhopadhyay
2020 International Joint Conference on Neural Networks (IJCNN), 1-8, 2020
72020
MONETA: A Processing-In-Memory-based Hardware Platform for the Hybrid Convolutional Spiking Neural Network with On-line Learning
D Kim, B Chakraborty, X She, E Lee, B Kang, S Mukhopadhyay
Frontiers in Neuroscience, 412, 2022
42022
29.1 A 32.5 mW Mixed-Signal Processing-in-Memory-Based k-SAT Solver in 65nm CMOS with 74.0% Solvability for 30-Variable 126-Clause 3-SAT Problems
D Kim, NM Rahman, S Mukhopadhyay
2023 IEEE International Solid-State Circuits Conference (ISSCC), 28-30, 2023
32023
A flexible precision multi-format in-memory vector matrix multiplication engine in 65 nm cmos with rf machine learning support
M Mukherjee, Y Long, J Woo, D Kim, NM Rahman, S Dash, ...
IEEE Solid-State Circuits Letters 3, 450-453, 2020
32020
An SRAM Compiler for Monolithic-3-D Integrated Circuit With Carbon Nanotube Transistors
D Kim, E Lee, J Seo, J Kim, SK Lim, S Mukhopadhyay
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 7 …, 2021
22021
A ReRAM memory compiler with layout-precise performance evaluation
E Lee, D Kim, VCK Chekuri, Y Long, S Mukhopadhyay
2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference …, 2019
22019
A ReRAM memory compiler for monolithic 3D integrated circuits in a carbon nanotube process
E Lee, D Kim, J Kim, SK Lim, S Mukhopadhyay
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (1), 1-20, 2021
12021
PRESTO: A Processing-in-Memory-Based -SAT Solver Using Recurrent Stochastic Neural Network With Unsupervised Learning
D Kim, NM Rahman, S Mukhopadhyay
IEEE Journal of Solid-State Circuits, 2024
2024
The system can't perform the operation now. Try again later.
Articles 1–20