Follow
S Khursheed
S Khursheed
Lecturer (Assistant Professor), University of Liverpool, UK
Verified email at liv.ac.uk - Homepage
Title
Cited by
Cited by
Year
Cost-effective TSV grouping for yield improvement of 3D-ICs
Y Zhao, S Khursheed, BM Al-Hashimi
2011 Asian Test Symposium, 201-206, 2011
782011
Bridging fault test method with adaptive power management awareness
S Khursheed, U Ingelsson, P Rosinger, BM Al-Hashimi, P Harrod
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
492008
Online fault tolerance technique for TSV-based 3-D-IC
Y Zhao, S Khursheed, BM Al-Hashimi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (8 …, 2014
422014
Aging benefits in nanometer CMOS designs
D Rossi, V Tenentes, S Yang, S Khursheed, BM Al-Hashimi
IEEE Transactions on Circuits and Systems II: Express Briefs 64 (3), 324-328, 2016
392016
Process variation-aware test for resistive bridges
U Ingelsson, BM Al-Hashimi, S Khursheed, SM Reddy, P Harrod
IEEE transactions on computer-aided design of integrated circuits and …, 2009
372009
Improved dft for testing power switches
S Khursheed, S Yang, BM Al-Hashimi, X Huang, D Flynn
2011 Sixteenth IEEE European Test Symposium, 7-12, 2011
332011
Reliable power gating with NBTI aging benefits
D Rossi, V Tenentes, S Yang, S Khursheed, BM Al-Hashimi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (8 …, 2016
312016
Diagnosis of multiple-voltage design with bridge defect
S Khursheed, BM Al-Hashimi, SM Reddy, P Harrod
IEEE transactions on computer-aided design of integrated circuits and …, 2009
262009
A cost-effective fault tolerance technique for functional TSV in 3-D ICs
RP Reddy, A Acharyya, S Khursheed
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (7 …, 2017
212017
Hardware trojan detection on a PCB through differential power monitoring
G Piliposyan, S Khursheed, D Rossi
IEEE Transactions on Emerging Topics in Computing 10 (2), 740-751, 2020
202020
Coarse-grained online monitoring of bti aging by reusing power-gating infrastructure
V Tenentes, D Rossi, S Yang, S Khursheed, BM Al-Hashimi, SR Gunn
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (4 …, 2016
192016
BTI and leakage aware dynamic voltage scaling for reliable low power cache memories
D Rossi, V Tenentes, S Khursheed, BM Al-Hashimi
2015 IEEE 21st International On-Line Testing Symposium (IOLTS), 194-199, 2015
192015
NBTI and leakage aware sleep transistor design for reliable and energy efficient power gating
D Rossi, V Tenentes, S Khursheed, BM Al-Hashimi
2015 20th IEEE European Test Symposium (ETS), 1-6, 2015
192015
Impact of PVT variation on delay test of resistive open and resistive bridge defects
S Zhong, S Khursheed, BM Al-Hashimi
2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and …, 2013
172013
Delay test for diagnosis of power switches
S Khursheed, K Shi, BM Al-Hashimi, PR Wilson, K Chakrabarty
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (2), 197-206, 2013
152013
A fast and accurate process variation-aware modeling technique for resistive bridge defects
S Zhong, S Khursheed, BM Al-Hashimi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
142011
Modeling the impact of process variation on resistive bridge defects
S Khursheed, S Zhong, R Aitken, BM Al-Hashimi, S Kundu
2010 IEEE International Test Conference, 1-10, 2010
142010
Resistive bridging faults DFT with adaptive power management awareness
U Ingelsson, P Rosinger, SS Khursheed, BM Al-Hashimi, P Harrod
16th Asian Test Symposium (ATS 2007), 101-106, 2007
142007
Improved state integrity of flip-flops for voltage scaled retention under PVT variation
S Yang, S Khursheed, BM Al-Hashimi, D Flynn, GV Merrett
IEEE Transactions on Circuits and Systems I: Regular Papers 60 (11), 2953-2961, 2013
132013
Reliable state retention-based embedded processors through monitoring and recovery
S Yang, S Khursheed, BM Al-Hashimi, D Flynn, S Idgunji
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
122011
The system can't perform the operation now. Try again later.
Articles 1–20