Volgen
Mahdi Nikdast
Mahdi Nikdast
Electrical and Computer Engineering, Colorado State University
Geverifieerd e-mailadres voor colostate.edu - Homepage
Titel
Geciteerd door
Geciteerd door
Jaar
A NoC traffic suite based on real applications
W Liu, J Xu, X Wu, Y Ye, X Wang, W Zhang, M Nikdast, Z Wang
2011 IEEE computer society annual symposium on VLSI, 66-71, 2011
1492011
Crosstalk noise and bit error rate analysis for optical network-on-chip
Y Xie, M Nikdast, J Xu, W Zhang, Q Li, X Wu, Y Ye, X Wang, W Liu
Proceedings of the 47th Design Automation Conference, 657-660, 2010
1492010
3-D mesh-based optical network-on-chip for multiprocessor system-on-chip
Y Ye, J Xu, B Huang, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1232013
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
Y Xie, M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang, W Liu
IEEE, 2013
952013
Design space exploration of microring resonators in silicon photonic interconnects: impact of the ring curvature
M Bahadori, M Nikdast, S Rumley, LY Dai, N Janosik, T Van Vaerenbergh, ...
Journal of lightwave technology 36 (13), 2767-2782, 2018
932018
Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison
M Nikdast, J Xu, LHK Duong, X Wu, X Wang, Z Wang, Z Wang, P Yang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (11 …, 2014
912014
A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip
Y Ye, J Xu, X Wu, W Zhang, W Liu, M Nikdast
ACM Journal on Emerging Technologies in Computing Systems (JETC) 8 (1), 1-26, 2012
832012
Universal design of waveguide bends in silicon-on-insulator photonics platform
M Bahadori, M Nikdast, Q Cheng, K Bergman
Journal of Lightwave Technology 37 (13), 3044-3054, 2019
822019
A survey on silicon photonics for deep learning
FP Sunny, E Taheri, M Nikdast, S Pasricha
ACM Journal of Emerging Technologies in Computing System 17 (4), 1-57, 2021
762021
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2014
752014
Suor: Sectioned undirectional optical ring for chip multiprocessor
X Wu, J Xu, Y Ye, Z Wang, M Nikdast, X Wang
ACM Journal on Emerging Technologies in Computing Systems (JETC) 10 (4), 1-25, 2014
692014
CrossLight: A cross-layer optimized silicon photonic neural network accelerator
F Sunny, A Mirza, M Nikdast, S Pasricha
2021 58th ACM/IEEE Design Automation Conference (DAC), 1069-1074, 2021
662021
UNION: A unified inter/intrachip optical network for chip multiprocessors
X Wu, Y Ye, J Xu, W Zhang, W Liu, M Nikdast, X Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (5 …, 2013
632013
System-level modeling and analysis of thermal effects in optical networks-on-chip
Y Ye, J Xu, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (2), 292-305, 2012
622012
Chip-scale silicon photonic interconnects: A formal study on fabrication non-uniformity
M Nikdast, G Nicolescu, J Trajkovic, O Liboiron-Ladouceur
Journal of Lightwave Technology 34 (16), 3682-3695, 2016
592016
A survey of silicon photonics for energy-efficient manycore computing
S Pasricha, M Nikdast
IEEE Design & Test 37 (4), 60-81, 2020
552020
System-level modeling and analysis of thermal effects in WDM-based optical networks-on-chip
Y Ye, Z Wang, P Yang, J Xu, X Wu, X Wang, M Nikdast, Z Wang, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
542014
Floorplan optimization of fat-tree-based networks-on-chip for chip multiprocessors
Z Wang, J Xu, X Wu, Y Ye, W Zhang, M Nikdast, X Wang, Z Wang
IEEE Transactions on Computers 63 (6), 1446-1459, 2012
502012
Fat-tree-based optical interconnection networks under crosstalk noise constraint
M Nikdast, J Xu, LHK Duong, X Wu, Z Wang, X Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (1), 156-169, 2014
492014
An inter/intra-chip optical network for manycore processors
X Wu, J Xu, Y Ye, X Wang, M Nikdast, Z Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (4), 678-691, 2014
442014
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20